UVM-01 win10环境下利用questasim仿真《UVM实战》代码

在线wifi跑包 金刚包跑包 cap跑包 hccapx ewsa在线 就来 握手包跑包

各位好 又见面了 我是曹操 今天给大家带来一篇新的教程

希望各位细心学习 低调用网

在张强大大的《UVM实战》中,代码是基于Linux环境下的编译运行。然而,并没有提到如何在Windows系统下进行编译和运行。经过一番摸索(通过百度),我终于成功建立了仿真环境和编译运行环境。参考内容可见:数字09 modelsim中怎么仿真《UVM实战》中的例程_影子才是本体的博客-CSDN博客

编译环境:Windows 10 64位、QuestaSim 10.6c。

编译内容以《UVM实战》中的第2章->第2.2节->2.2.3中的源码为例,章节类似。

  1. 建立项目文件夹:将相关的源码复制到项目文件夹下,2.2.3下的源码包括dut共三个文件。
  2. 建立仿真命令文件:建立以.do为后缀的tcl命令文件,内容如下:
set UVM_HOME C:/path/to/UVM
set UVM_LIB C:/path/to/UVM/lib
set WORK_DIR C:/path/to/work
vlib work
vlog *.sv
vsim top_module
run -all
  1. 启动 QuestaSim 建立工程:设置工程位置与项目目录位置一致,其余默认。
  2. 启动仿真:在 QuestaSim 命令窗口输入 do sim.do 命令,其中 sim 与第2步建立的脚本文件名一致。
  3. 仿真结果:结果与《UVM实战》预期一致。

通过以上步骤,您可以在Windows系统下成功编译和运行《UVM实战》中的代码。

赞(0)